Ayuda Con Proyecto de Electronica Digital

sigmak

Bovino adolescente
#1
Resulta que para terminar mi carrera de Ing en computacion me falta la materia de electronica digital y me dejaron este trabajo:

*Hacer el GRAFO y diagramas
*programa en VHDL
*Simulacion

De lo siguiente:

*Contadores binario de 4 bits
*Contador BCD (0....9)
*contador Johnson
*Registros de currimiento
*Latch


La verdad que soy novatisimo en esto de la electronica, ojala me puedan ayudar. de echo si tiene los programas para correrlos en el galaxy se los agradeceria. Creanme que no me dare por mal servido
de echo el documento que aga lo voy a compartir con el foro Saludos¡¡¡
 
#2
el contador binario y el de 4 bits los eh visto por aqui usa el buscador por otra parte de perdido investiga de que es cada uno el foro esta para ayudar no para que te hagamos tu trabajo asi que de perdido pon mas datos saludos
 

sigmak

Bovino adolescente
#3
gracias por la ayuda, voy a utilizar el buscador, la neta si ando medio desesperado y casi casi andaba buscando a quien pagarle para que me lo hiciera pero bueno no me queda mas que chingarle.

Pero si alguien tiene algun link que mejor muchas gracias¡¡¡
 

potter_00

Bovino maduro
#4
carnal para el contador de binario de 4 bits usa el 74ls93 de pendiendo asta que numer quieres que cuente mandas las Qs a Los MR. ok las Qs son los pines 12- 9- 8 y 11 siendo Q0 el 12 Q1 el 9 y asi asta el 4. por ejemplo si quieres qe aga un reset a 12 binario (1100) Q1 y Q0 las mandas a los MR que son los pines 2-3 ok.. y dependiendo si queres q cuente arriva de los 3 bits conectas el pin 1 con el 12 va esto es por la arquitectura del CI ok y el el pin 14 metes el CLK para hacer el CLK usa un 555 o con un 74h04... espero que te sea d e ayuda
 

potter_00

Bovino maduro
#5
para el bcd usa el 74ls90 es muy parecida la coneccion solo que aqui las Qs la mandas a un decodificador(7447) para ponerlas en un Display de 7 segmentos y el binario con leds ok este CI me parece no estoy muy seguro pero se resetea solo al 9 asi que no sera problema los reset
 

potter_00

Bovino maduro
#6
te aconsejo que bajes el programa PROTEUS sirve para simular circuitos esta completon para qe te saques de dudas.. si no me entendiste baja el programa y te paso el diseño como quedaria para que lo estudies por si no le ayas.
 

moikano

Bovino adolescente
#7
a ver el vhdl me da flojera igual deja entro a la uni y te puedo ayudar aki tengo los apuntes de digitales k ya hace tiempo tome ese curso mira te dejo el decod y elcontador up dn ahy lo modificas
library ieee;
Use ieee.std_logic_1164.all;
entity deco is
port (a: in std_logic_vector (4 downto 0);
b: out std_logic_vector (0 to 6));
end deco;
architecture arqdeco of deco is
begin
process(a)
begin
case a is
when "00000"=>b<= "0000001";
when "00001"=>b<= "1001111";
when "00010"=>b<= "0010010";
when "00011"=>b<= "0000110";
when "00100"=>b<= "1001100";
when "00101"=>b<= "0100100";
when "00110"=>b<= "0100000";
when "00111"=>b<= "0001110";
when "01000"=>b<= "0000000";
when "01001"=>b<= "0001100";
when "01010"=>b<= "0001000";
when "01011"=>b<= "1100000";
when "01100"=>b<= "0110001";
when "01101"=>b<= "1000010";
when "01110"=>b<= "0110000";
when "01111"=>b<= "0111000";
when others=>b<= "1111111";
end case;
end process;
end arqdeco;
__--------------------------------------------------------------------

library ieee;
use ieee.std_logic_1164.all;
use work.std_arith.all;
entity contador is
port (clk : in std_logic;
UPDOWN : in std_logic;
Q : inout std_logic_vector (3 downto 0));
end contador;
architecture arqcontador of contador is
begin
process (UPDOWN,clk)
begin
if (clk 'event and clk = '1') then
if (UPDOWN = '0') then
Q <= Q+1;
else
Q <= Q-1;
end if;
end if;
end process;
end arqcontador;

xD deja entro a la uni y a ver si te puedo ayudar con los programas de vhdl si kieres tengo el warp y los apuntes de dig los puedo subir en un rato libre salu2
 

moikano

Bovino adolescente
#8
contador B 4 bits
library ieee;
use ieee.std_logic_1164.all;
use work.std_arith.all;
entity contador is
port (clk : in std_logic;
Q : inout std_logic_vector (3 downto 0));
end contador;
architecture arqcontador of contador is
begin
process (clk)
begin
if (clk 'event and clk = '1') then
Q <= Q+1;
end if;
end process;
end arqcontador;
 
Arriba